Tuesday, February 22, 2011

A new report on those energy critical elements

Graedel is the co-author of a new report on those energy critical elements (ECE) from the American Physical Society and the Materials Research Society that was released Feb. 18
Link to report:
 

Monday, February 7, 2011

wafering costs info

Hello Lita
 
I ran across this data today, thought I'd pass it along.  The numbers conflict maybe with those from the Solarnation report, maybe not depending on interpretation.  Source link: http://international.pv-tech.org/guest_blog/other_than_first_solar_who_holds_a_low_cost_manufacturing_benchmark?utm_source=PV-Tech&utm_campaign=dce13101ce-PV_Tech_Newsletter_07_02_2011&utm_medium=email
 
Allan
 
 
 

Friday, January 14, 2011

2011 SMC Update: Matt Gertken, STRATFOR

Matt Gertken, Geopolitical Analyst, STRATFOR: " 2011 Forecast and the Asia Pacific Outlook"
 
American economic recovery will continue; pessimistic about European debt crisis resolution; German pressure on southern European nations creating discord; no real change in N. vs. S. Korea relations expected through 2011 but stay tuned; change of N. Korean leadership in 2012 will introduce stability concerns.
 

China: Chinese export sector woes: Export collapse and recovery 2008-10; Growth slowing in 2011; Global demand; Rising costs; Labor strikes; Currency wars; Loss-making exporters?

Consumption rate is 38% of GDP vs. 58% for "healthy" economy, 70% for USA; drop in investment driven growth/new lending is a major risk; correction to lending practices by 2015 needed, not likely in 2011 due to build of non-performing loans (reaching $900B);current 4th generation leadership will changeover in 2012;

2011 SMC Update; Materials Market Analysis Panel

Materials Market Analysis Panel

Moderator: Lita Shon-Roy, Techcet Group
Panelists:
Karey Holland, Partner & Senior Technical Analyst
Michael Corbett, Managing Partner, Linx Consulting
Jan Vardaman, President, TechSearch International
Dan Tracy, Senior Director, Industry Research and Statistics, SEMI
 
Holland: Recycling may become more important and have impact on price going forward; expect CMP pad suppliers to shrink through closings or mergers; "3 most critical materials for near term": for FEoL, ALD materials for HK gates: Hf, Zr, La; for BEoL, Mn & Co will increase importance, Ta will drop; Ru will not proliferate;
Corbett: may be some move to less leading edge node devices, into 200mm nodes for example, bumping up demands for materials and consumables used  in those existing manufacturing lines; China market has many obstacles for materials suppliers along with IP concerns, no resolution foreseen; "3 most critical materials for near term": FEol materials are most critical; Ru cost will be an obstacle;
Vardaman: supplier consolidation is leading to concerns and legal issues in EU;  "3 most critical materials for near term": Cu fluctuations can impact major segments;
Tracy: move into lower nodes raising questions about 6-inch material availability; "3 most critical materials for near term": Cu wire transition can drive cost equations, reduce gold positions

2011 SMC Update: Dan Tracy, SEMI

Dan Tracy, Senior Director,
Industry Research and Statistics, SEMI: "SEMI Materials Outlook"
 
Semi market growth will be modest, 6 & 5%, 2011 & 2012; < 5% growth in capex spending in 2011 & 2012, hit 12% of total Semi revenue; 8 & 9% growth in fab capacity in 2011 & 2012, led by Memory & Foundry; 50% increase in msi of silicon by 2012; PR chemicals 7 ancillaries growth will be 10% yty through 2012; materials spending growth expected about 5% yty through 2012; Japan is leading materials market, Taiwan surpassed NA; packaging materials will grow 3.5% 2011, 1.7% in 2012.

2011 SMC Update: Jan Vardaman, TechSearch International

Jan Vardaman, President, TechSearch International: "Challenges and Opportunities for Materials in Backend Processing and Assembly"
 
Packaging challenges: new ILDs and pad stacks introducing stress issues, worse with Pb-free bumps and ELK; 16% of all IC shipments will be Flip-Chip & WLP; assembly price pressure driving Cu replacement of Au; 2010 capex spending was 2.7X that of 2009, 300mm WLP a leading cause; Flip Chip will grow 15% through 2014; shift is towards Cu pillar; this will drive growth in plating chemistries, underfills, substrates; WLP growth will be 12.5% through 2014, driving growth in dielectrics for reliability improvement, looking for low cure temp, lower k, high breakdown strengths; Fan-out WLP packages will emerge as new technology; 300mm WLP will see capacity shortage near term; WLP highest I/O count is 309 by Fujitsu.
 
3D & High Density packaging trends: smart phones universally featuring stacks; tablets also making heavy use of stacks, WLP, package-on-package (PoP); PoP will triple by 2014; expecting TSV to shift out as high volume production technology from 2011 to 2012; TSVs /3D IC is expected to reach major market size (>3M 300mm wpy) in 2015; TSV challenges are via filling, improved chemistries; joining processes like direct bonding/Cu pillar/etc.; thinning & handling, singulation, inspection & FA; a long laundry list of issues still remain to be resolved for TSV, opening door for alternatives such as stacked silicon interconnect (Xilinx), Chip-on-Chip, PoP, etc.

2011SMC Update: Michael Corbett, Linx Consulting

Michael Corbett, Managing Partner Linx Consulting: "Emerging Opportunities and Markets for Chemicals and Materials in Advanced Devices"
 
Opportunities & trends: sub-32nm market is materials-innovation intensive; FEoL cleaning will need new materials, there will be a major jump when 450mm single wafer cleaning enters the market; wet-only HDIS chemistries is a major need; DRAM cell developments will drive new materials such as SiGe, Ru; NAND will introduce new 3D structures; advanced ALD and CVD precursors will triple through 2014; mask counts will rise by 20% through 2014, impacting all phases such as PR, ARC, etc.; CMP use and material demands will see moderate growth through 2014; High Selective Etching will grow in importance and resource requirements as new materials are introduced; Related markets such as Solar will have impact on Semi.

2011 SMC Update: Karey Holland, Techcet Group

Karey Holland, Partner & Senior Technical Analyst, Techcet Group: "The Materials Age: IC Performance Takes Flight Through Innovation"
 
Chinese consumer spending ramp will continue; NAND will be leading IC product; legacy of low price from recession will continue, introducing trade-offs such as sputter target purity vs.price, etc.; BEoL trends for Cu/Low-K/Air Gap/Carbon nanotubes, barriers moving into Ru, Co, Mn; FEoL trends are Hf going to Zr, composites such as HfZrLa coming into play; HKMG continues, Si Nanowire & Graphene coming up on radar; 3D/TSV packaging a major effort; Collaboration to "outsource R&D" is major trend, along with M&A for innovative technologies from smaller companies; Chinese control of Rare Earth / Critical Elements present potential "gotcha" moments; "Local content" requirements throughout Asia will introduce changes to status quo.
 
SiC use will grow, replace quartz in some widespread apps; HKMG precursors will be seeing significant growth through 2015 to > $100M; EUV will push out to < 20nm; DP with ArFi will be major technology in interim; PR market will see CAGR of about 11% thru 2015; ARC use will continue increase; Cu use will expand as < 45nm IC production increases in overall % of delivered ICs (expect about 50% delivered ICs to be 130nm thru ); Basic wet chemical use will see minimal growth as users try to improve green profile; NF3 experiencing constrained delivery due to some production issues, industry is looking for replacements due to availability and greenhouse concerns; Ta use for sputtering will see price rise due to "conflict" concerns and reduced supplies; CMP market seeing larger market share by Asia-local suppliers; use of dilute slurries can impede growth if successful on a broader base; 15% growth in PV materials in 2011.

Thursday, January 13, 2011

2011 SMC Update: Business Environment/Supply Chain Analysis Panel

Business Environment/Supply Chain Analysis Panel
Presentations:
Norm Armour, VP and general manager, Fab 8, GLOBALFOUNDRIES
 
Major concerns: material costs looking likely to @x going from 40nm to 28nm; supply base consolidation limiting flexibility; material shortages occurring daily (NF3 availability ius a major problem); hoarding of materials in some regions.; lower margin material availability issues; other industries competing for materials; material use not factored into advanced technologies; RoHAS etc regulations causing more problems than not;
 
Tim Hendry, Vice President, Fab Materials Operation, Intel Corporation: "Affordable Materials with Supply Chain Innovation "
 
Material innovation on track for 22nm node; Equipment supply chain is a good model for Materials; Supply Chain performance lowers fab cycle time, response time, net inventory; future focus is on "Right Price Point", Innovative product, better availability, and improved social responsibility
 
Roger Gossett, Senior Manager Gas, Chemical and Wafers, Global Procurement, Freescale Semiconductor: "The Next Generation Supply Chain"
 
Assurance of supply is critical need; leading to dual sourcing necessity; China material export license limits are a problem; "blood Mineral" issues
 
Jeremy How, Electronics Materials Strategic Sourcing Manager, Air Products
 
Have to deal with their own sub-suppliers in a similar fashion as their end customers; conflict minerals are managed as appropriately as possible
 
Kurt Carlsen, director, Strategic Sourcing, Air Liquide Electronics
 
Turn on from their sub-suppliers lagged; Fab demand increasing with little warning; raw material shortages occurring; low inventories impacting ability to ramp; some sub-suppliers walking away from Semi market; sudden focus on reliability of supply emphasized with little forewarning; need better forecasts
 
Panel Discussion:
Armour: need to consider entire economic ecosystem in terms of material usage – can't put a lock on future supplies without understanding who else may be impacted;
Hendry: some material use rates growing exponentially (single wafer wet cleans,..);  need to develop effective collaboration strategy;
How: endless pursuit of lower price is compromising the ability to supply materials that have short shelf life
Carlsen: customers need to trade off day to day procurement vs. long term sourcing strategies to secure reliable suppliers over long term

2011 SMC update: Johannes Kaeppeler, Aixtron

Johannes Kaeppeler, Vice President, Technology, Aixtron AG: "MOCVD of III / V Semiconductor – From Material Research to an Established Production Technology"
 
Aixtron has wide range of deposition equipment & technologies extending to carbon nanotube formation systems.  Major revenue-generating product ( > 90%) is used for MOCVD of LEDs.  Reactor design strategy features multiple layer growth within a single chamber.  15um/hor dep rate of GaN effective limit currently.  6" is maximum substrate size at this time.  Total dep time for overall device can reach 8 hours, limits effectiveness of automation for cost reduction.  Move to larger substrates & batch sizes in progress.  Lack of industry roadmaps impacts ability to justify R&D ROI etc.    Market is lagging Semi by about 10 years in terms of overall maturity.  III-V development for GaAs solar PV also in production.  Latest efforts directed towards III-V silicon devices.  Future will include evaluation of in-line process toolset.

2011 SMC Update: David Bour, Applied Materials

David Bour, Chief Technologist, LED Product Technology, Applied Materials, "Epitaxial Nitride Semiconductors for Solid Sate Lighting"
 
Chip size is growing up from 1mm2 current typical size.  Typical pyrolytic MOCVD dep is NH3 rich, with premium on purity.  Low pyrolysis of NH3 drives very high NH3 concentration, room for improvement exists.  Temperature control is the main knob for growth uniformity.  Critical layers are on the order of 3nm thick, can grow in seconds, requires high reactor exchange rates.  Mg precursor used for p-doping is difficult to control in production. 
 
Wavelength targeting optimization is a major challenge with respect to reproducibility.  Bulk delivery of precursors provides material purity benefits. Defects result in lower light output at given current level.  High interest in other substrates.  Sapphire is current best known material but SiC and Si are of high interest.

2011 SMC Update: Rommel Noufi, NREL

Rommel Noufi, Principal Scientist and Group Manager of Thin Film PV, National Renewable Energy Laboratory "High Efficiency CIGS Solar Cells: "Technology Trends and Materials
Requirement"
 
This talk is primarily only CIGS-specific, not c-Si or CdTe.  Notable only 3 equipment-only players in the overall CIS  marketplace, all others are panel manufacturers.  CIGS is closely behind c-Si performance, should close the gap in relatively near term.  Good performance on flexible substrates indicates high potential to compete using low temperature processes. 
 
Long term stability is a major challenge to hit 25 year lifetime requirement.  Passivation/barrier performance of end module needs improvement. Regarding processing, uniformity over a large area is a problem, which needs to be optimized to also improve output and yield.  A role for in-line process monitoring control on a comprehensive basis for composition etc. remains unfilled.  Material engineering needs to be pursued to improve the conversion efficiency.  15% CIGS conversion efficiency is enough today to promise good market position going forward.  Scalability from Pilot Line to high volume Manufacturing is the major immediate hurdle for the top end producers.  Cost of the CIGS-layer materials and better TCO films are the highest cost benefit materials areas where improvement is sought, followed by substrate / encapsulation.  "Five 9's" purity not necessarily required for the CIGS layer materials.  6 minute or more CIGS dep time must be reduced to around 1 minute.

SMC 2011 Update; Joe Berwind, Principal, Alternative Energy Investing

Joe Berwind, Principal, Alternative Energy Investing:" "High Efficiency CIGS Solar Cells:  Technology Trends and Materials Requirement"
 
The major parameter of interest for market penetration is LCOE.  Cost per Watt is not a sufficient parameter to assess benefits.  "Materials & Processes Enable markets".   Location & solar irradiation are factors that vary geographically and thus by markets and must be included in any analysis.  Process and materials differences are reflected in LCOE better than with Cost/Watt.  Short-term pull-in growth in the market will not sustain, subsidy cuts are undermining the longer term market.

Latest from 2011 SMC: David Icke, mc10

David A. Icke, CEO, mc10, Inc.: "Electronics Anywhere: Conformal, Stretchable Electronics Using Novel Mechanics & Conventional Materials"
 
New Form Factors (example cited: balloon catheter with active electronics located on expandable segment) is pursued by mc10 using flexible Si Nanoribbons devices made with conventional CMOS process techniques can be transferred to polymer substrates then encapsulated with additional polymer; advanced pleated form factors can be used to improve conformality performance over complex shapes such as spheres etc.; stretch can be accommodated by employing mechanical bridge with freedom to move vertically vs. plane of circuitry, good for stretching 20 – 40%, otherwise serpentine shaped bridges could be employed.

Latest from SMC 2011: Jae Hyun Ki, Samsung

, Principal Engineer, Semiconductor Manufacturing Technology Team, Infra Technology Service Center, Device Solution Business, Samsung Electronics Co. Ltd.: "Challenge of Material Technology in the Nano-scale Device Manufacturing"
 
Material needs for smaller devices through the next 5 years will be driven by: the move from 2D to 3D for device architecture; the transition from ArFi to EUV on the Photolithography front; Capacitor materials such as HfO2/ZrO compounds entering major production levels.
 
 3D Packaging will help achieve density targets of DRAM< (3X or current) and Flash (8X of current); Interface issues with WLP will offer new material opportunities; Defect & contamination control will require continuous improvements; current material purity limits hit impact production beginning at 3X nodes; material suppliers must take on the burden of making the necessary improvements; filtering at POU locales will need improvements; improvement in analytical techniques such as NMR, and particle detection also is an area of concern.
 
Green Manufacturing trends will drive changes from current hazardous and/or toxic materials to cleaner materials and a focus on recycling & reuse; more regulations are being put into place in Korea for a growing list of chemicals, suppliers must pay attention.

The Latest from SMC 2011: Keynote Speaker: Andrew Thompson, Proteus Biomedical

Keynote speaker: Andrew Thompson, co-founder and CEO, Proteus Biomedical: "Beyond the Rich"
 
Opened noting the high cost of innovation in Healthcare vs. low return (1/1000 Lipitor users will realize significant benefits from use cited) and emphasized the need to improve; the business model used by the Heathcare Industry is antiquated and needs to follow the electronics Industry, being more consumer-oriented rather than healthcare professionals dealing with only each other; there is a critical need to develop an ability to eliminate black-market counterfeit pharmaceuticals, which is a major issue in most of the world; Proteus' product is a computer chip intended to be taken with a given drug internally fed by the body's normal nutrients, ushering in an era of "Intelligent Pharmaceuticals"; wireless communication can be transmitted to cell phone apps etc., guaranteeing drug integrity and effects with output parameters such as heartbeat, drug concentrations in the bloodstream, etc.;  enables direct feedback to consumers; the data can be extended to external performance activites such as sports, video gaming, etc.; the "Intelligent Pharmaceutical" market is expected to reach major revenues, multi-bilion $, by 2020

Latest from 2011 SMC: Keynote speaker: Gary Patton,IBM

Keynote speaker: Gary Patton, Vice President, Semiconductor Research & Development Center, IBM Systems and Technology: "Semiconductor Technology - Trends, Challenges, and Opportunities"
 
Gary began by echoing the end of traditional CMOS scaling as a major factor going forward; Materials & Process innovation are key to progress; collaborative R&D is absolutely necessary; Atomic Level Processes are now required to continue pace of conventional CMOS improvements; atomic purity now a critical factor; collaboration must include entire ecosystem of Semi industry players;
 
On the Process/Material Innovation Roadmap IBM is pursuing: 3rd and 4th generation of ArF Immersion patterning through 2014, with EUV coming into play by 2016/11nm (with a hedge towards advance Double Patterning vs. EUV); Double patterning will continue to present significant challenges including many requiring new materials; Device Structure Research for CMOS below 22nm includes FinFets down to 11nm, followed by fully-Depleted Devices, Si-nano-wire, and C-Electronics to get to 3nm; materials purity demands will continue as a primary focus; Interconnect Challenges will require new cap layer materials as well as for liners & seeds; Ever-present demand for "New Ultra-Low-K materials" continues; ; efforts on IBM's Packaging Research Roadmap focus on 3D Integration with stacking & TSV's; need advanced final passivation materials; high performance pastes for thermal interfaces

Latest Update from SMC 2011: Keynote speaker: Nobu Koshiba, president, JSR Corporation

Keynote speaker: Nobu Koshiba, president, JSR Corporation: "Materials Innovation: Chemistry for Emerging Technologies"
Opened with discussion on a paradigm shift for CMOS technology: scaling transitioning from optical in the 90's, to materials in the '00's, and now entering the architecture era through 2020;
The new architecture includes Extended CMOS, More-than-Moore, New Applications, Beyond CMOS;
Extended CMOS will pursue higher density and speed using new materials and device structure but still conventional CMOS technology;
More-than-Moore involves fusing sensor, MEMs and other functions on-board with conventional CMOS;
New Applications include Devices and Sensors for Environmental, Energy and Health Care;
Beyond CMOS will move into non-silicon devices, molecular memory, etc
JSR is looking into Liquid, Spin-On Al (with apologies to Ahnold and T2's "liquid metal"); new dielectric materials(SFD-3002), one of JSR's industry mainstays; CNT-based ReRAM technology;
 
JSR is expecting EUV to take a major role in Semi processing beginning in about 2013, going aginst a lot of other industry predictors; Double Patterning will bookend the introduction of EUV, extending EUV much as it is doing for ArF; "Chemical Freezing" technique may come into play in the near term to fill the gap before EUV matures enough to enter mainstream manufacturing;; long-term projects include Nano-imprinting, which is showing good potential if EUV continues to push out and/or once EUV hits its own limit, and self-assembly for pattern formation; 3-D technology featuring TSV's will be needed to jump the technology improvement track otherwise being followed by further optimization of current IC technologies, JSR is looking into adhesive materials for potential in TSV processing; Phot-definable Dielectric Materials is still one of JSR's long-term projects;
 
Analog device technologies are expected to push the need for new materials;
 
Colaboration with customer is a key part of JSR's success strategy

Wednesday, January 12, 2011

From Allan Wiesnoski, Director, Techcet Group:

Notes from: Blue Chip CEO Panel
Moderator: Michael Wright, Advanced Global Strategies
Panelists:
Bill Noglows, chairman, president & CEO, Cabot Microelectronics;
Art de Geus, founder, chairman & CEO, Synopsys;
Nobu Koshiba,president, JSR Corporation;
Bob Akins, chairman & CEO, Cymer;
Doug Neugold, president & CEO, ATMI;
Michael Splinter, President and CEO, Applied Materials
 Notes:
Overall Topic: "A Measure of Strategic Leadership":
The development and deployment of financial and human capital to achieve sustainable growth
 
What are the 1-3 principles you use to guide the development & deployment of 'capital':
Noglows: challenge of balancing cost vs. impact until payoff;
de Geus: timing is the most critical part of execution; consider M&A as soon as customers begin to materialize; must collaborate
Koshiba: long term should be 40 years, 20 years is relatively around the corner, you must have info and strategy to pursue plan for the next 20 years well understood;
Akins: be willing to bet your companies' existence on your decisions/don't expect to live past more than one mistake; progress continuously to self-sufficiency to using external resources (universities, etc.); excel at turning data into true knowledge; Strive for sustainability; public company should leverage market expertise; understand the timing of turning points for things like materials etc.;
Neugold: use customer's perspective to help decisions to achieve faster, better, cheaper; help customer's solve materials and integration problems; speed, customer proximity, pace of investments, invest opportunistically;
Splinter: one additional thing – core competencies of the company – assess, determine how to best use to deliver value in existing and next markets; enable customers to move to next step; large companies must diversify (out of semi);
 
Global trends: how are you dealing with increased connectivity, technology & skills gap closing, increased role of sovereign capital in the world?
Koshiba: look for gaps that will arise;
Neugold: increase presence in emerging markets;
 
Global trends: how is your allocation of resources impacted?
de Geus: IP protection must be protected through company culture on an individual basis; need to understand true cost of moving to local markets – 5 year time frame to build up each level of local management, independent on low cost of labor; challenge is to bring global culture to remote locations;
Akins: develop a global mission statement; export expertise in change management
Neugold: must understand and leverage your differentiation & expertise
Splinter: prime objective to shareholders cannot be subjugated to social roles, government must play its role; but local involvement in community initiatives should be pursued
Notes from: Blue Chip CEO Panel
Moderator: Michael Wright, Advanced Global Strategies
Panelists:
Bill Noglows, chairman, president & CEO, Cabot Microelectronics;
Art de Geus, founder, chairman & CEO, Synopsys;
Nobu Koshiba,president, JSR Corporation;
Bob Akins, chairman & CEO, Cymer;
Doug Neugold, president & CEO, ATMI;
Michael Splinter, President and CEO, Applied Materials
 Notes:
Overall Topic: "A Measure of Strategic Leadership":
The development and deployment of financial and human capital to achieve sustainable growth
 
What are the 1-3 principles you use to guide the development & deployment of 'capital':
Noglows: challenge of balancing cost vs. impact until payoff;
de Geus: timing is the most critical part of execution; consider M&A as soon as customers begin to materialize; must collaborate
Koshiba: long term should be 40 years, 20 years is relatively around the corner, you must have info and strategy to pursue plan for the next 20 years well understood;
Akins: be willing to bet your companies' existence on your decisions/don't expect to live past more than one mistake; progress continuously to self-sufficiency to using external resources (universities, etc.); excel at turning data into true knowledge; Strive for sustainability; public company should leverage market expertise; understand the timing of turning points for things like materials etc.;
Neugold: use customer's perspective to help decisions to achieve faster, better, cheaper; help customer's solve materials and integration problems; speed, customer proximity, pace of investments, invest opportunistically;
Splinter: one additional thing – core competencies of the company – assess, determine how to best use to deliver value in existing and next markets; enable customers to move to next step; large companies must diversify (out of semi);
 
Global trends: how are you dealing with increased connectivity, technology & skills gap closing, increased role of sovereign capital in the world?
Koshiba: look for gaps that will arise;
Neugold: increase presence in emerging markets;
 
Global trends: how is your allocation of resources impacted?
de Geus: IP protection must be protected through company culture on an individual basis; need to understand true cost of moving to local markets – 5 year time frame to build up each level of local management, independent on low cost of labor; challenge is to bring global culture to remote locations;
Akins: develop a global mission statement; export expertise in change management
Neugold: must understand and leverage your differentiation & expertise
Splinter: prime objective to shareholders cannot be subjugated to social roles, government must play its role; but local involvement in community initiatives should be pursued

Streetviews on the Semiconductor/Equipment Market

by Allan Wiesnoski
Notes from the Streetviews Panel
Moderator: Rick Hill, chairman of the Board and CEO, Novellus Systems
Panelists: Tim Arcuri, CITI; Avinash Kant, DA Davidson; Satya Kumar, Credit Suisse; Krish Sankar, Bank of America/Merrill Lynch

“Sister Mary Ricky”, aka Rick Hills, appeared to review the report cards of the “pupils” on the panel. The panel presented a review of their performance, with a short summary of each below. Sister Mary seemed to take them all to task to one extent or another, as might be expected.

The CITI outlook from Tim Arcuri was 20% up for Foundry, DRAM down 50%, NANA up 90% and Logic/others up 10% for Capex for 2011, overall up 5% in total. The loading for demand will be front-half heavy. M&A activity is expected to be high due to record cash flow. Litho tool shipments in Q1 & Q2 will be significant.

Satya Kumar, of Credit Suisse forecast strong product cycles for Handsets, Tablets, and PCs, with a note that not all products will succeed given the large number of products expected. 2011 capex will be up 5 – 10%y/y, expect 2012 to rise y/y as well.

Krish Sankar, of Bank of America/Merrill Lynch, 2011 revenues up greater than 5% y/y; tablet growth will boost NAND demand; 5% y/y Capex growth in 2011, pretty even throughout the year; DRAM market is not strong through 2011; the biggest shift in this cycle is the multiple compression in the group, will lead to foundry buys.

SMC Presentation - Norm Armour, Global Foundries

Norm Armour, VP and General Manager, Fab 8, GLOBALFOUNDRIES spoke about the past, present, and future plans of Global Foundries. Theirs current customer list is 150 clients. Training is occurring currently in existing GF fabs to staff a facility in Abu Dhabi. GF is now offering 32nm with HKMG technology, which is fully qualified. 28nm development is using a Gate-First HKMG strategy, which saves up to 20% of the space required for “Gate-Last” devices. GF’s CapEx Investment focus will feature $5.4B in 2011, with tooling being added in Fab 1 and Fab 8 by the end of the year. Fab 8 ramp will be to 60K wpm within the next 3 years, featuring 28 and 20 nm nodes. Suppliers were urged to be aggressive in placing support organizations in the Fab locale. Going forward, GF is pursuing MEMS markets for Accelerometers, Gyroscopes, and RF devices. 3D stacking for packaging is another major thrust within GF. 2.3M wpyear will be the GF capacities within the next few years.

"Emerging Memory Technologies" SMC Presentation Summary - James Hutchby, SRC

James Hutchby, Senior Scientist, Semiconductor Research Corporation, addressed “Emerging Memory Technologies – Scaling Beyond16nm?”. Reduction of the number of charges available to control for Memory was described as the limiting performance issue for current technologies. Because of this new approaches are being developed. One example was a change in device architecture, moving into the 3rd dimension in order to keep pace with Moore’s law. Bit Cell Scaled Structure (BITS) from Toshiba takes on the 3rd dimension as one example. Other emerging technologies were discussed including Ferroelectric Gate FET, Nanomechanical RAM, Spin Transfer Torque MRAM, Phase Change Memory, Themochemical Mechanism devices, Redox Resistive RAM, and others. The target is to focus on a few of the leading candidates to accelerate the introduction into mainstream IC markets, echoing Paolo Gargini’s earlier request, looking for a turnaround time of 5 – 10 years for new technologies below 16nm. An overview of the most promising technologies was presentedpproviding pros and cons for each. The overall conclusions being that early favorites are Spin Transfer Torque RAM (STT-RAM) and Redox RAM.
Posted by Allan Wieesnoski to Techcet's Electronic Materials Information: Conference Site at January 12, 2011 9:23:00 AM PST

SMC Presentation Summary - Keith Cook, Micron

Keith Cook, Senior Director of Technology Development, Micron, presented forecast information for Memory markets. Technology is the Main Memory growth driver in the near term as NAND goes into 2Xnm nodes and DRAN hits 3Xnm. The main challenges are Performance, Shrink, Equipment capability and, as always, especially for Memory, Cost. The Technology Scaling Challenges are broadening, including Isolation structure Aspect Rations reaching 20:1 as the 3Xnm node is crossed, magnifying associated structural and mechanical issues. Both DRAM and NAND are facing generic problems such as Interference issues due to noise from closely space structures. This is being addressed with new materials and architectures. Air Gaps are being looked at as a viable solution. The progress of Vertical (3-D) NAND technology was presented, which has short-term benefits but may face similar aspect ratio limits in fairly short order going forward. It does allow scaling without EUV litho, which offers incentive. Updates on the progress of Capacitorless DRAM was reviewed, which is [possibly limited to a single generation of new devices. No clear winning technologies were identified to deal with the expanding demands on Memory devices. The complexity of the Memory Market is being served by specialized sub-segments going forward.
Paolo Gargini, Chairman, ITRS; Intel Fellow; Director, Technology Strategy, Intel Corporation, kicked of the first day of the combined ISS/SMC conference by discussing the current challenges that face Intel and by extension the Semiconductor Industry as a whole.  He prefaced the talk with a brief history of the development of current day CMOS technology, emphasizing the importance of the new materials and scaling for improved mobilities.  The next phase of introducing III-V transistor devices is where Intel would like the industry to work to accelerate the development cycle to something less than the 15 year time to market that has been the historical norm.  Looking forward, the next, perhaps even more challenging technology will involve introducing Graphene devices.  The  "New Devices" will utilize new physics outside of the "MOS Box".  Intel has been working with various industry entities to explore these new technologies and went into some depth on their Intel's current interest in Tunnel FET devices.  The challenge in conclusion was to reduce the incubation time as each of these new technologies is developed.

Friday, January 7, 2011

Techcet Launches New Blogsite for Materials Conferences

7 January 2011 - North Plains, OR.  Techcet Group launched a new bloggsite for the electronic materials industry.  This site will cover up to date activities on material conferences attended by Techcet Analysts over the course of the year.  To receive automatic updates of new information, please click on "Follow" and follow the instructions.  For more information Techcet Group, please call 925-413-9373.

Thursday, January 6, 2011

Techcet's New Conference Site

Welcome to Techcet's latest form of information free to the public.  We will be updating this blogspot with the latest informaiton from conferences attended by our nalysts over the course of the year.

Tuesday, January 4, 2011

Major Info Activity re: Strategic Materials Conference 2011

From Allan Wiesnoski, Director, Techcet Group:
Paolo Gargini, Chairman, ITRS; Intel Fellow; Director, Technology Strategy, Intel Corporation, kicked of the first day of the combined ISS/SMC conference by discussing the current challenges that face Intel and by extension the Semiconductor Industry as a whole. He prefaced the talk with a brief history of the development of current day CMOS technology, emphasizing the importance of the new materials and scaling for improved mobilities. The next phase of introducing III-V transistor devices is where Intel would like the industry to work to accelerate the development cycle to something less than the 15 year time to market that has been the historical norm. Looking forward, the next, perhaps even more challenging technology will involve introducing Graphene devices. The “New Devices” will utilize new physics outside of the “MOS Box”. Intel has been working with various industry entities to explore these new technologies and went into some depth on their Intel’s current interest in Tunnel FET devices. The challenge in conclusion was to reduce the incubation time as each of these new technologies is developed.